西门子s7-300不写程序能否直接通讯

来源:电工天下时间:2020-03-12 15:37:08 作者:老电工手机版>>

西门子s7-300不写程序能否直接通讯

问题:在西门子s7-300plc中不写程序可以直接通讯吗?cpu 315-2 pn/dp和cpu 315-2 pn/dp怎么通讯?

解答:

二个cpu可使用mpi进行通讯,采用全局数据包的通信方式。

全局数据包的通讯方式,只需要在硬件配置时组态所需要的发送区和接收区,不需要程序处理。

1、建立mpi网络,在step7里面建立两个plc站,并分别组态,建立mpi网络并配置mpi的站地址和通信率

2、组态数据的发送区和接受区,选中mpi网络,选择options->define global data进入组态画面

3、插入所有需要通信的cpu,并在每个cpu栏下填入数据的发送区和接收区,地址可以为db、i、m、q区,s7-300的地址区最大长度为22个字节,发送区和接收区的长度必须一致。

4、编译存盘后,把组态数据分别下载到相应的cpu中,即可进行数据通信。

相关文章
  • 西门子s7-300 plc绝对寻址与符号寻址的功能说明

    西门子s7-300 plc绝对寻址与符号寻址的功能说明

    西门子s7-300 plc绝对寻址和符号寻址,绝对地址包含地址标识符和内存位置,STEP 7可以自动地将符号名称翻译成所需要的绝对地址,西门子step7中的绝对寻址与符号寻址的实例教程。

    时间:2020-11-17 13:19:15

  • 西门子s7-300增加模拟量输入模块过程的步骤

    西门子s7-300增加模拟量输入模块过程的步骤

    西门子s7-300增加模拟量输入模块过程的步骤,分为九个步骤与一个注意问题,改造工程提前办理工作票,准备用要用的工具及材料,当配置下载成功后,进入软件程序设计划面调用FC105进行量程变换。

    时间:2020-04-23 11:24:40

  • 西门子s7-300定时器的剩余时间参与比较的方法

    西门子s7-300定时器的剩余时间参与比较的方法

    西门子s7-300定时器的剩余时间参与比较的方法,要求剩余时间值与5s比较,所以MW10中BI剩余时间值应与50(单位为100ms,即5s)比较,在脉冲定时器定时时断开输入端,剩余时间值保持不变。

    时间:2020-04-23 10:19:13

  • 西门子s7-300 plc定时器指令的类型与用法

    西门子s7-300 plc定时器指令的类型与用法

    西门子s7-300 plc定时器指令的类型与用法,西门子S7-300有五种类型的定时器,三种类型的计数器,有关延时通定时器指令、锁存型延时通定时器指令、延时断定时器指令、脉冲定时器指令、脉冲扩展定时器指令的用法。

    时间:2020-04-23 10:12:27

  • 西门子s7-300支持的传感器类型有哪些

    西门子s7-300支持的传感器类型有哪些

    西门子s7-300支持的传感器类型西门子plc s7-300的di模块很多,其具体参数需要在硬件组态中查看。1、s7-300的大部分di模块均为漏型(选取pnp型的传感器),在硬件组态时不提示源型或漏型。

    时间:2020-04-23 09:36:17

  • 西门子s7-300子程序执行时间的是多少

    西门子s7-300子程序执行时间的是多少

    有关西门子s7-300执行子程序时间的查看方法,分享了西门子s7-300执行子程序时间的具体查看步骤,可在主程序调用该子程序的前后分别读取cpu的系统时钟,利用时钟指令fc34进行相减进行编程运算。

    时间:2020-04-08 13:32:13

  • 西门子s7-300下载程序报错原因分析

    西门子s7-300下载程序报错原因分析

    有关西门子s7-300下载程序的报错原因,西门子s7-300下载程序报错原因查找方法,地址间断连续,说明模拟量模块有问题,在硬件组态中点击“离线—在线”。

    时间:2020-04-08 12:38:52

  • 西门子s7-300 cpu系统扫描循环时间的查看办法

    西门子s7-300 cpu系统扫描循环时间的查看办法

    如何查看西门子s7-300中cpu系统扫描循环时间,有二种方法,方法1:将程序下载到plc中,在线连接并查看cpu信息,方法2:通过将OB1对应的临时变量传到M区中,读取CPU的扫描循环时间。

    时间:2020-04-08 11:52:20

推荐文章

Copyright © 2015 - 2022 dgjs123.com All Rights Reserved

电工天下 版权所有